HG "Sep 21 2012", "18:23:26"  
  VDA/FAT-AK30    
  Home (www.bausch-gall.de) | VHDL-AMS |  
    
VHDL-AMS

VHDL-AMS is a standardized language which supports the modeling and simulation of digital, analog and mixed analog/digital (mixed-signal) systems.

The language is powerful and flexible. Beyond simulations in the electrical domain, VHDL-AMS can equally well be used to model mechanical, thermal and hydraulical components.

This page provides documents and links for those who want to learn more about the usage of VHDL-AMS for physical modeling.


Applications
(Articles, Design Reports, etc.)
IEEE and Non-Profit-Organizations
(Standards, Packages, etc.)
Tool Vendors
(Simulators, Evaluation Versions, Model Libraries, etc.)
Project ''VHDL-AMS-Library for the Automotive Industry''
(an Initiative of German Car Companies and Suppliers)
Modeling Guidelines
(Rules, Usage Hints, etc.)
References
(Books, Tutorials, etc.)


VHDL-AMS = Very High Speed Integrated Circuit Hardware Description Language - Analog and Mixed Signal

IEEE = The Institute of Electrical and Electronics Engineers, Inc.

VDA/FAT-AK30, c/o BAUSCH-GALL GmbH, Wohlfartstrasse 21 b, D-80939 Muenchen
Telephone: +49/89/3232625, Telefax: +49/89/3231063
email: Hans.Gall@Bausch-Gall.de